eCPRI Intel® FPGA IP Design
Example Uporabniški priročnik
Posodobljeno za Intel®
Quartus®
Prime Design Suite: 23.1
Različica IP: 2.0.3
Vodnik za hiter začetek
Izboljšano jedro Intel® FPGA IP za skupni javni radijski vmesnik (eCPRI) izvaja specifikacijo eCPRI različice 2.0. eCPRI Intel FPGA IP zagotavlja preskusno mizo za simulacijo in zasnovo strojne opreme, nprampki podpira prevajanje in testiranje strojne opreme. Ko ustvarite načrt nprample, urejevalnik parametrov samodejno ustvari filepotrebno za simulacijo, prevajanje in testiranje načrta nprample v strojni opremi.
Prevedena zasnova strojne opreme nprample teče na:
- Razvojni komplet FPGA Intel Agilex™ 7 serije I
- Intel Agilex 7 I-Series Transceiver-SoC Development Kit
- Intel Agilex 7 F-Series Transceiver-SoC Development Kit
- Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit for the H-tile design examples
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit for the E-tile design examples
- Komplet za razvoj celovitosti signala oddajnika Intel Arria® 10 GX
Intel ponuja ex samo kompilacijoample projekt, ki ga lahko uporabite za hitro oceno osrednjega območja IP in časa.
Testna miza in oblikovanje nprample podpira 25G in 10G podatkovne hitrosti za različice naprav eCPRI IP za Intel Stratix 10 H-tile ali E-tile in Intel Agilex 7 E-tile ali F-tile.
Opomba: Zasnova eCPRI IP npramps funkcijo medsebojnega delovanja (IWF) je v trenutni izdaji na voljo samo za bitno hitrost linije CPRI 9.8 Gb/s.
Opomba: Zasnova eCPRI IP nprample ne podpira dinamične rekonfiguracije za hitrost prenosa podatkov 10G v modelih Intel Arria 10.
Zasnova jedra IP eCPRI Intel FPGA nprample podpira naslednje funkcije:
- Notranji način serijske povratne zanke TX v RX
- Generator in preverjalnik prometa
- Osnovne zmožnosti preverjanja paketov
- Možnost uporabe sistemske konzole za zagon zasnove in ponastavitev zasnove za namen ponovnega testiranja
Intel Corporation. Vse pravice pridržane. Intel, logotip Intel in druge znamke Intel so blagovne znamke družbe Intel Corporation ali njenih podružnic. Intel jamči za delovanje svojih izdelkov FPGA in polprevodnikov v skladu s trenutnimi specifikacijami v skladu z Intelovo standardno garancijo, vendar si pridržuje pravico do sprememb katerega koli izdelka in storitve kadar koli brez predhodnega obvestila. Intel ne prevzema nobene odgovornosti ali obveznosti, ki izhaja iz uporabe ali uporabe katere koli informacije, izdelka ali storitve, opisanih tukaj, razen če je Intel izrecno pisno privolil v to. Intelovim strankam svetujemo, da pridobijo najnovejšo različico specifikacij naprave, preden se zanesejo na kakršne koli objavljene informacije in preden oddajo naročila za izdelke ali storitve. *Druga imena in blagovne znamke so lahko last drugih.
ISO 9001:2015 Registriran
Slika 1. Razvojni koraki za oblikovanje Example
Povezane informacije
- eCPRI Intel FPGA IP uporabniški priročnik
- Opombe ob izdaji eCPRI Intel FPGA IP
1.1. Zahteve glede strojne in programske opreme
Za preizkus bivšegaampza oblikovanje uporabite naslednjo strojno in programsko opremo:
- Različica programske opreme Intel Quartus® Prime Pro Edition 23.1
- Sistemska konzola
- Podprti simulatorji:
— Siemens* EDA QuestaSim*
— Synopsys* VCS*
— Synopsys VCS MX
— Aldec* Riviera-PRO*
— Cadence* Xcelium* - Razvojni komplet:
— Razvojni komplet FPGA Intel Agilex 7 I-Series
— Intel Agilex 7 I-Series Transceiver-SoC Development Kit
— Intel Agilex 7 F-Series Transceiver-SoC Development Kit
— Komplet za razvoj celovitosti signala oddajnika Intel Stratix 10 GX za različico zasnove naprave H-ploščice example
— Razvoj celovitosti signala oddajnika Intel Stratix 10 TX za različico zasnove naprave E-tile example
— Komplet za razvoj celovitosti signala oddajnika Intel Arria 10 GX
Povezane informacije
- Uporabniški priročnik za razvojni komplet Intel Agilex 7 I-Series FPGA
- Uporabniški priročnik za razvojni komplet za oddajnik-SoC Intel Agilex 7 I-Series
- Uporabniški priročnik za razvojni komplet za oddajnik-SoC Intel Agilex 7 F-Series
- Uporabniški priročnik za komplet za razvoj celovitosti signala oddajnika Intel Stratix 10 GX
- Uporabniški priročnik za komplet za razvoj celovitosti signala oddajnika Intel Stratix 10 TX
- Uporabniški priročnik za komplet za razvoj celovitosti signala oddajnika Intel Arria 10 GX
1.2. Ustvarjanje dizajna
Predpogoj: Ko prejmete eCPRI web-core IP, shranite web-jedrni namestitveni program na lokalno območje. Zaženite namestitveni program z operacijskim sistemom Windows/Linux. Ko ste pozvani, namestite webjedro na isto mesto kot mapa Intel Quartus Prime.
eCPRI Intel FPGA IP je zdaj prikazan v katalogu IP.
Če še nimate projekta Intel Quartus Prime Pro Edition, v katerega bi integrirali svoje jedro eCPRI Intel FPGA IP, ga morate ustvariti.
- V programski opremi Intel Quartus Prime Pro Edition kliknite File ➤ Čarovnik za nov projekt, da ustvarite nov projekt Intel Quartus Prime, ali kliknite File ➤ Open Project, da odprete obstoječi projekt Intel Quartus Prime. Čarovnik vas pozove, da določite napravo.
- Določite družino naprav in napravo, ki izpolnjuje zahteve glede stopnje hitrosti.
- Kliknite Dokončaj.
- V katalogu IP poiščite in dvokliknite eCPRI Intel FPGA IP. Prikaže se okno New IP Variant.
Sledite tem korakom za ustvarjanje zasnove strojne opreme IP eCPRI nprample in testna miza:
- V katalogu IP poiščite in dvokliknite eCPRI Intel FPGA IP. Prikaže se okno New IP Variant.
- Kliknite OK. Prikaže se urejevalnik parametrov.
Slika 2. Example zavihek Design v eCPRI Intel FPGA IP Parameter Editor
- Določite ime najvišje ravni za vašo različico IP po meri. Urejevalnik parametrov shrani nastavitve variacije IP v a file imenovan .ip.
- Kliknite OK. Prikaže se urejevalnik parametrov.
- Na zavihku Splošno določite parametre za svojo različico jedra IP.
Opomba: • V urejevalniku parametrov IP eCPRI morate vklopiti parameter pretakanja, ko ustvarite načrt exampz omogočenim parametrom podpore za funkcijo medsebojnega delovanja (IWF),
• Pri ustvarjanju načrta morate nastaviti bitno hitrost linije CPRI (Gbit/s) na Drugoampz omogočenim parametrom podpore za funkcijo medsebojnega delovanja (IWF). - Na Example zavihek Design, izberite možnost simulacije za ustvarjanje preskusne naprave, izberite možnost sinteze za ustvarjanje strojne opremeampin izberite možnost sinteze in simulacije, da ustvarite preskusno napravo in zasnovo strojne opreme, nprample.
- Za jezik za simulacijo najvišje ravni file, izberite Verilog ali VHDL.
Opomba: Ta možnost je na voljo le, če za svojega bivšega izberete možnost Simulacijaampoblikovanje. - Za jezik za sintezo najvišje ravni file, izberite Verilog ali VHDL.
Opomba: Ta možnost je na voljo le, če za svojega bivšega izberete možnost Sintezaampoblikovanje. - Za Število kanalov lahko vnesete število kanalov (1 do 4), ki so namenjeni vaši zasnovi. Privzeta vrednost je 1.
- Kliknite Generate Example Design. Možnost Select ExampPrikaže se okno Design Directory.
- Če želite spremeniti dizajn nprample pot imenika ali ime iz prikazanih privzetih nastavitev (ecpri_0_testbench), poiščite novo pot in vnesite novo zasnovo npr.ample ime imenika.
- Kliknite OK.
Povezane informacije
eCPRI Intel FPGA IP uporabniški priročnik
1.3. Struktura imenika
Zasnova jedra IP eCPRI nprample file imeniki vsebujejo naslednje ustvarjene files za oblikovanje nprample.
Slika 3. Imeniška struktura ustvarjenega Example Oblikovanje
Opomba:
- Prisoten samo v zasnovi Intel Arria 10 IP example variacija.
- Prisoten samo v zasnovi IP Intel Stratix 10 (H-tile ali E-tile) nprample variacija.
- Prisoten samo v zasnovi IP Intel Agilex E-tile example variacija.
Tabela 1. eCPRI Intel FPGA IP Core Testbench File Opisi
| File Imena | Opis |
| Ključna testna miza in simulacija Files | |
| <design_example_dir>/simulation/testbench/ ecpri_tb.sv | Testna miza najvišje ravni file. Testna miza ustvari primerek ovoja DUT in zažene naloge Verilog HDL za ustvarjanje in sprejemanje paketov. |
| <design_example_dir>/simulation/testbench/ecpri_ed.sv | Ovoj DUT, ki instancira DUT in druge komponente preskusne naprave. |
| <design_example_dir>/simulation/ed_fw/flow.c | Izvor kode C file. |
| Skripte testnega orodja | |
| <design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do | Skript Siemens EDA QuestaSim za zagon preskusne naprave. |
| <design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh | Skript Synopsys VCS za zagon preskusne naprave. |
| <design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh | Skript Synopsys VCS MX (združuje Verilog HDL in SystemVerilog z VHDL) za zagon preskusne naprave. |
| <design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl | Skript Aldec* Riviera-PRO za zagon preskusne naprave. |
| <design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh | Skript Cadence* Xcelium za zagon preskusne naprave. |
Tabela 2. eCPRI Intel FPGA IP Core Hardware Design Example File Opisi
| File Imena | Opisi |
| <design_example_dir>/synthesis/quartus/ecpri_ed.qpf | Projekt Intel Quartus Prime file. |
| <design_example_dir>/synthesis/quartus/ecpri_ed.qsf | Nastavitev projekta Intel Quartus Prime file. |
| <design_example_dir>/synthesis/quartus/ecpri_ed.sdc | Omejitve oblikovanja Synopsys files. Lahko jih kopirate in spreminjate files za vaš lasten dizajn Intel Stratix 10. |
| <design_example_dir>/synthesis/testbench/ecpri_ed_top.sv | Verilog HDL design na najvišji ravni nprample file. |
| <design_example_dir>/synthesis/testbench/ecpri_ed.sv | Ovoj DUT, ki instancira DUT in druge komponente preskusne naprave. |
| <design_example_dir>/synthesis/quartus/ecpri_s10.tcl | Glavni file za dostop do sistemske konzole (na voljo v izvedbah Intel Stratix 10 H-tile in E-tile). |
| <design_example_dir>/synthesis/quartus/ecpri_a10.tcl | Glavni file za dostop do sistemske konzole (na voljo v izvedbah Intel Arria 10). |
| <design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl | Glavni file za dostop do sistemske konzole (na voljo v izvedbah Intel Agilex 7). |
1.4. Simulacija zasnove Example Testbench
Slika 4. Postopek
Za simulacijo preskusne mize sledite tem korakom:
- V ukazni vrstici preklopite v imenik simulacije preskusne napraveample_dir>/simulation/setup_scripts.
- Za različice naprav Intel Agilex F-tile sledite tem korakom:
a. Pomaknite se doampimenik le_dir>/simulation/quartus in zaženite ta dva ukaza spodaj: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
Lahko pa odprete projekt ecpri_ed.qpf v Intel Quartus Prime Pro Edition in izvajate prevajanje, dokler Support Logic Generation stage.
b. Pomaknite se doampimenik le_dir>/simulation/setup_scripts.
c. Zaženite naslednji ukaz: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf - Zaženite simulacijski skript za podprti simulator po vaši izbiri. Skript prevede in zažene testno mizo v simulatorju. Glejte tabelo Koraki za simulacijo testne mize.
Opomba: Podpora za jezik VHDL za simulacijo je na voljo samo s simulatorji QuestaSim in VCS MX. Podpora za jezik Verilog za simulacijo je na voljo za vse simulatorje, navedene v tabeli: Koraki za simulacijo preskusne naprave. - Analizirajte rezultate. Uspešna preskusna naprava pošilja in sprejema pakete ter prikaže »PASSED«.
Tabela 3. Koraki za simulacijo testne mize
| Simulator | Navodila |
| QuestaSim | V ukazno vrstico vnesite vsim -do run_vsim.do Če raje simulirate brez prikaza GUI QuestaSim, vnesite vsim -c -do run_vsim.do |
| VCS | • V ukazno vrstico vnesite sh run_vcs.sh • Pomaknite se doample_dir>/simulation/setup_scripts/synopsys/vcs in zaženite naslednji ukaz: sh run_vcs.sh |
| VCS MX | V ukazno vrstico vnesite sh run_vcsmx.sh |
| Riviera-PRO | V ukazno vrstico vnesite vsim -c -do run_rivierapro.tcl Opomba: Podprto samo v različicah zasnove ploščice Intel Stratix 10 H. |
| Xcelium (1) | V ukazno vrstico vnesite sh run_xcelium.sh |
- Ta simulator ni podprt za eCPRI Intel FPGA IP design exampdatoteka, ustvarjena z omogočeno funkcijo IWF.
Sample Izhod: Naslednji sample izhod ponazarja uspešen simulacijski preizkus zasnove eCPRI IP nprampdatoteka brez omogočene funkcije IWF s številom kanalov = 4:
# Čakanje na RX poravnavo
# RX izravnava zaklenjena
# RX poravnava voznega pasu zaklenjena
# Čakanje na odpravo napake povezave
# Napaka povezave je odpravljena
# Izvorni naslov MAC 0_0 Kanal 0: 33445566
# Izvorni naslov MAC 0_1 Kanal 0: 00007788
# Ciljni naslov MAC 0_0 Kanal 0: 33445566
# Ciljni naslov MAC 0_1 Kanal 0: 00007788
# Ciljni naslov MAC 1_0 Kanal 0: 11223344
# Ciljni naslov MAC 1_1 Kanal 0: 00005566
# Ciljni naslov MAC 2_0 Kanal 0: 22334455
# Ciljni naslov MAC 2_1 Kanal 0: 00006677
# Ciljni naslov MAC 3_0 Kanal 0: 44556677
# Ciljni naslov MAC 3_1 Kanal 0: 00008899
# Ciljni naslov MAC 4_0 Kanal 0: 66778899
# Ciljni naslov MAC 4_1 Kanal 0: 0000aabb
# Ciljni naslov MAC 5_0 Kanal 0: 778899aa
# Ciljni naslov MAC 5_1 Kanal 0: 0000bbcc
# Ciljni naslov MAC 6_0 Kanal 0: 8899aabb
# Ciljni naslov MAC 6_1 Kanal 0: 0000ccdd
# Ciljni naslov MAC 7_0 Kanal 0: 99aabbcc
# Ciljni naslov MAC 7_1 Kanal 0: 0000ddee
# Skupni kontrolni kanal eCPRI 0: 00000041
# Omogoči prekinitev skupnega nadzornega kanala eCPRI 0: 00000241
# različica eCPRI Kanal 0: 2
# Izvorni naslov MAC 0_0 Kanal 1: 33445566
# Izvorni naslov MAC 0_1 Kanal 1: 00007788
# Ciljni naslov MAC 0_0 Kanal 1: 33445566
# Ciljni naslov MAC 0_1 Kanal 1: 00007788
# Ciljni naslov MAC 1_0 Kanal 1: 11223344
# Ciljni naslov MAC 1_1 Kanal 1: 00005566
# Ciljni naslov MAC 2_0 Kanal 1: 22334455
# Ciljni naslov MAC 2_1 Kanal 1: 00006677
# Ciljni naslov MAC 3_0 Kanal 1: 44556677
# Ciljni naslov MAC 3_1 Kanal 1: 00008899
# Ciljni naslov MAC 4_0 Kanal 1: 66778899
# Ciljni naslov MAC 4_1 Kanal 1: 0000aabb
# Ciljni naslov MAC 5_0 Kanal 1: 778899aa
# Ciljni naslov MAC 5_1 Kanal 1: 0000bbcc
# Ciljni naslov MAC 6_0 Kanal 1: 8899aabb
# Ciljni naslov MAC 6_1 Kanal 1: 0000ccdd
# Ciljni naslov MAC 7_0 Kanal 1: 99aabbcc
# Ciljni naslov MAC 7_1 Kanal 1: 0000ddee
# Skupni kontrolni kanal eCPRI 1: 00000041
# Omogoči prekinitev skupnega nadzornega kanala eCPRI 1: 00000241
# različica eCPRI Kanal 1: 2
# Izvorni naslov MAC 0_0 Kanal 2: 33445566
# Izvorni naslov MAC 0_1 Kanal 2: 00007788
# Ciljni naslov MAC 0_0 Kanal 2: 33445566
# Ciljni naslov MAC 0_1 Kanal 2: 00007788
# Ciljni naslov MAC 1_0 Kanal 2: 11223344
# Ciljni naslov MAC 1_1 Kanal 2: 00005566
# Ciljni naslov MAC 2_0 Kanal 2: 22334455
# Ciljni naslov MAC 2_1 Kanal 2: 00006677
# Ciljni naslov MAC 3_0 Kanal 2: 44556677
# Ciljni naslov MAC 3_1 Kanal 2: 00008899
# Ciljni naslov MAC 4_0 Kanal 2: 66778899
# Ciljni naslov MAC 4_1 Kanal 2: 0000aabb
# Ciljni naslov MAC 5_0 Kanal 2: 778899aa
# Ciljni naslov MAC 5_1 Kanal 2: 0000bbcc
# Ciljni naslov MAC 6_0 Kanal 2: 8899aabb
# Ciljni naslov MAC 6_1 Kanal 2: 0000ccdd
# Ciljni naslov MAC 7_0 Kanal 2: 99aabbcc
# Ciljni naslov MAC 7_1 Kanal 2: 0000ddee
# Skupni kontrolni kanal eCPRI 2: 00000041
# Omogoči prekinitev skupnega nadzornega kanala eCPRI 2: 00000241
# različica eCPRI Kanal 2: 2
# Izvorni naslov MAC 0_0 Kanal 3: 33445566
# Izvorni naslov MAC 0_1 Kanal 3: 00007788
# Ciljni naslov MAC 0_0 Kanal 3: 33445566
# Ciljni naslov MAC 0_1 Kanal 3: 00007788
# Ciljni naslov MAC 1_0 Kanal 3: 11223344
# Ciljni naslov MAC 1_1 Kanal 3: 00005566
# Ciljni naslov MAC 2_0 Kanal 3: 22334455
# Ciljni naslov MAC 2_1 Kanal 3: 00006677
# Ciljni naslov MAC 3_0 Kanal 3: 44556677
# Ciljni naslov MAC 3_1 Kanal 3: 00008899
# Ciljni naslov MAC 4_0 Kanal 3: 66778899
# Ciljni naslov MAC 4_1 Kanal 3: 0000aabb
# Ciljni naslov MAC 5_0 Kanal 3: 778899aa
# Ciljni naslov MAC 5_1 Kanal 3: 0000bbcc
# Ciljni naslov MAC 6_0 Kanal 3: 8899aabb
# Ciljni naslov MAC 6_1 Kanal 3: 0000ccdd
# Ciljni naslov MAC 7_0 Kanal 3: 99aabbcc
# Ciljni naslov MAC 7_1 Kanal 3: 0000ddee
# Skupni kontrolni kanal eCPRI 3: 00000041
# Omogoči prekinitev skupnega nadzornega kanala eCPRI 3: 00000241
# različica eCPRI Kanal 3: 2
# __________________________________________________________
# INFO: Izven stanja ponastavitve
# __________________________________________________________
#
#
# Kanal 0 Število SOP eCPRI TX: 0
# Kanal 0 eCPRI TX EOPs count: 0
# Kanal 0 Število SOP eCPRI RX: 0
# Kanal 0 Število EOP-jev eCPRI RX: 0
# Kanal 0 Število zunanjih PTP TX SOP: 0
# Kanal 0 Število zunanjih PTP TX EOP: 0
# Kanal 0 Število zunanjih SOP MISC TX: 0
# Kanal 0 Število zunanjih MISC TX EOP: 0
# Kanal 0 Število zunanjih SOP RX: 0
# Kanal 0 Število zunanjih RX EOP: 0
# Kanal 1 Število SOP eCPRI TX: 0
# Kanal 1 eCPRI TX EOPs count: 0
# Kanal 1 Število SOP eCPRI RX: 0
# Kanal 1 Število EOP-jev eCPRI RX: 0
# Kanal 1 Število zunanjih PTP TX SOP: 0
# Kanal 1 Število zunanjih PTP TX EOP: 0
# Kanal 1 Število zunanjih SOP MISC TX: 0
# Kanal 1 Število zunanjih MISC TX EOP: 0
# Kanal 1 Število zunanjih SOP RX: 0
# Kanal 1 Število zunanjih RX EOP: 0
# Kanal 2 Število SOP eCPRI TX: 0
# Kanal 2 eCPRI TX EOPs count: 0
# Kanal 2 Število SOP eCPRI RX: 0
# Kanal 2 Število EOP-jev eCPRI RX: 0
# Kanal 2 Število zunanjih PTP TX SOP: 0
# Kanal 2 Število zunanjih PTP TX EOP: 0
# Kanal 2 Število zunanjih SOP MISC TX: 0
# Kanal 2 Število zunanjih MISC TX EOP: 0
# Kanal 2 Število zunanjih SOP RX: 0
# Kanal 2 Število zunanjih RX EOP: 0
# Kanal 3 Število SOP eCPRI TX: 0
# Kanal 3 eCPRI TX EOPs count: 0
# Kanal 3 Število SOP eCPRI RX: 0
# Kanal 3 Število EOP-jev eCPRI RX: 0
# Kanal 3 Število zunanjih PTP TX SOP: 0
# Kanal 3 Število zunanjih PTP TX EOP: 0
# Kanal 3 Število zunanjih SOP MISC TX: 0
# Kanal 3 Število zunanjih MISC TX EOP: 0
# Kanal 3 Število zunanjih SOP RX: 0
# Kanal 3 Število zunanjih RX EOP: 0
# __________________________________________________________
# INFO: Začnite pošiljati pakete
# __________________________________________________________
#
#
# INFO: Čakanje na dokončanje prenosa prometa eCPRI TX kanala 0
# INFO: Prenos prometa eCPRI TX kanala 0 je končan
# INFO: Čakanje na prenos prometa eCPRI zunanjega TX PTP kanala 0
popolna
# INFO: Kanal 0 eCPRI Zunanji TX PTP prenos prometa je končan
# INFO: Čakanje na kanal 0 eCPRI External TX Misc prenos prometa na
popolna
# INFO: Kanal 0 eCPRI Zunanji TX Razni prenos prometa je končan
# INFO: Čakanje na dokončanje prenosa prometa eCPRI TX kanala 1
# INFO: Prenos prometa eCPRI TX kanala 1 je končan
# INFO: Čakanje na prenos prometa eCPRI zunanjega TX PTP kanala 1
popolna
# INFO: Kanal 1 eCPRI Zunanji TX PTP prenos prometa je končan
# INFO: Čakanje na kanal 1 eCPRI External TX Misc prenos prometa na
popolna
# INFO: Kanal 1 eCPRI Zunanji TX Razni prenos prometa je končan
# INFO: Čakanje na dokončanje prenosa prometa eCPRI TX kanala 2
# INFO: Prenos prometa eCPRI TX kanala 2 je končan
# INFO: Čakanje na prenos prometa eCPRI zunanjega TX PTP kanala 2
popolna
# INFO: Kanal 2 eCPRI Zunanji TX PTP prenos prometa je končan
# INFO: Čakanje na kanal 2 eCPRI External TX Misc prenos prometa na
popolna
# INFO: Kanal 2 eCPRI Zunanji TX Razni prenos prometa je končan
# INFO: Čakanje na dokončanje prenosa prometa eCPRI TX kanala 3
# INFO: Prenos prometa eCPRI TX kanala 3 je končan
# INFO: Čakanje na prenos prometa eCPRI zunanjega TX PTP kanala 3
popolna
# INFO: Kanal 3 eCPRI Zunanji TX PTP prenos prometa je končan
# INFO: Čakanje na kanal 3 eCPRI External TX Misc prenos prometa na
popolna
# INFO: Kanal 3 eCPRI Zunanji TX Razni prenos prometa je končan
# __________________________________________________________
# INFO: Ustavite oddajanje paketov
# __________________________________________________________
#
#
# __________________________________________________________
# INFO: Preverjanje statistike paketov
# __________________________________________________________
#
#
# Kanal 0 eCPRI SOP poslani: 300
# Kanal 0 eCPRI EOP poslani: 300
# Prejeti SOP eCPRI za kanal 0: 300
# prejetih eCPRI EOP-jev kanala 0: 300
# Kanal 0 Prijavljena napaka eCPRI: 0
# Kanal 0 Preneseni zunanji PTP SOP: 4
# Kanal 0 Preneseni zunanji PTP EOP: 4
# Kanal 0 Zunanji MISC SOP poslani: 128
# Kanal 0 Zunanji MISC EOP poslani: 128
# Prejeti zunanji SOP kanala 0: 132
# Kanal 0 Prejeti zunanji EOP-ji: 132
# Kanal 0 Prejeti zunanji PTP SOP: 4
# Kanal 0 Prejeti zunanji PTP EOP: 4
# Kanal 0 Prejeti zunanji MISC SOP: 128
# Kanal 0 Prejeti zunanji MISC EOP-ji: 128
# Kanal 0 Prijavljena zunanja napaka: 0
# Kanal 0 Zunanji časovnikamp Prijavljena napaka prstnega odtisa: 0
# Kanal 1 eCPRI SOP poslani: 300
# Kanal 1 eCPRI EOP poslani: 300
# Prejeti SOP eCPRI za kanal 1: 300
# prejetih eCPRI EOP-jev kanala 1: 300
# Kanal 1 Prijavljena napaka eCPRI: 0
# Kanal 1 Preneseni zunanji PTP SOP: 4
# Kanal 1 Preneseni zunanji PTP EOP: 4
# Kanal 1 Zunanji MISC SOP poslani: 128
# Kanal 1 Zunanji MISC EOP poslani: 128
# Prejeti zunanji SOP kanala 1: 132
# Kanal 1 Prejeti zunanji EOP-ji: 132
# Kanal 1 Prejeti zunanji PTP SOP: 4
# Kanal 1 Prejeti zunanji PTP EOP: 4
# Kanal 1 Prejeti zunanji MISC SOP: 128
# Kanal 1 Prejeti zunanji MISC EOP-ji: 128
# Kanal 1 Prijavljena zunanja napaka: 0
# Kanal 1 Zunanji časovnikamp Prijavljena napaka prstnega odtisa: 0
# Kanal 2 eCPRI SOP poslani: 300
# Kanal 2 eCPRI EOP poslani: 300
# Prejeti SOP eCPRI za kanal 2: 300
# prejetih eCPRI EOP-jev kanala 2: 300
# Kanal 2 Prijavljena napaka eCPRI: 0
# Kanal 2 Preneseni zunanji PTP SOP: 4
# Kanal 2 Preneseni zunanji PTP EOP: 4
# Kanal 2 Zunanji MISC SOP poslani: 128
# Kanal 2 Zunanji MISC EOP poslani: 128
# Prejeti zunanji SOP kanala 2: 132
# Kanal 2 Prejeti zunanji EOP-ji: 132
# Kanal 2 Prejeti zunanji PTP SOP: 4
# Kanal 2 Prejeti zunanji PTP EOP: 4
# Kanal 2 Prejeti zunanji MISC SOP: 128
# Kanal 2 Prejeti zunanji MISC EOP-ji: 128
# Kanal 2 Prijavljena zunanja napaka: 0
# Kanal 2 Zunanji časovnikamp Prijavljena napaka prstnega odtisa: 0
# Kanal 3 eCPRI SOP poslani: 300
# Kanal 3 eCPRI EOP poslani: 300
# Prejeti SOP eCPRI za kanal 3: 300
# prejetih eCPRI EOP-jev kanala 3: 300
# Kanal 3 Prijavljena napaka eCPRI: 0
# Kanal 3 Preneseni zunanji PTP SOP: 4
# Kanal 3 Preneseni zunanji PTP EOP: 4
# Kanal 3 Zunanji MISC SOP poslani: 128
# Kanal 3 Zunanji MISC EOP poslani: 128
# Prejeti zunanji SOP kanala 3: 132
# Kanal 3 Prejeti zunanji EOP-ji: 132
# Kanal 3 Prejeti zunanji PTP SOP: 4
# Kanal 3 Prejeti zunanji PTP EOP: 4
# Kanal 3 Prejeti zunanji MISC SOP: 128
# Kanal 3 Prejeti zunanji MISC EOP-ji: 128
# Kanal 3 Prijavljena zunanja napaka: 0
# Kanal 3 Zunanji časovnikamp Prijavljena napaka prstnega odtisa: 0
# __________________________________________________________
# INFO: Test OPRAVLJEN
#
# __________________________________________________________
Sample Izhod: Naslednji sample izhod ponazarja uspešen simulacijski preizkus zasnove eCPRI IP nprampdatoteka z omogočeno funkcijo IWF s številom kanalov = 4:
# Omogoči CPRI TX
# Kanal CPRI 0 L1_CONFIG: 00000001
# Kanal CPRI 0 CPRI_CORE_CM_CONFIG: 00001ed4
# Kanal CPRI 1 L1_CONFIG: 00000001
# Kanal CPRI 1 CPRI_CORE_CM_CONFIG: 00001ed4
# Kanal CPRI 2 L1_CONFIG: 00000001
# Kanal CPRI 2 CPRI_CORE_CM_CONFIG: 00001ed4
# Kanal CPRI 3 L1_CONFIG: 00000001
# Kanal CPRI 3 CPRI_CORE_CM_CONFIG: 00001ed4
# Čakanje na RX poravnavo
# RX izravnava zaklenjena
# RX poravnava voznega pasu zaklenjena
# Čakanje na odpravo napake povezave
# Napaka povezave je odpravljena
# Izvorni naslov MAC 0_0 Kanal 0: 33445566
# Izvorni naslov MAC 0_1 Kanal 0: 00007788
# Ciljni naslov MAC 0_0 Kanal 0: 33445566
# Ciljni naslov MAC 0_1 Kanal 0: 00007788
# Ciljni naslov MAC 1_0 Kanal 0: 11223344
# Ciljni naslov MAC 1_1 Kanal 0: 00005566
# Ciljni naslov MAC 2_0 Kanal 0: 22334455
# Ciljni naslov MAC 2_1 Kanal 0: 00006677
# Ciljni naslov MAC 3_0 Kanal 0: 44556677
# Ciljni naslov MAC 3_1 Kanal 0: 00008899
# Ciljni naslov MAC 4_0 Kanal 0: 66778899
# Ciljni naslov MAC 4_1 Kanal 0: 0000aabb
# Ciljni naslov MAC 5_0 Kanal 0: 778899aa
# Ciljni naslov MAC 5_1 Kanal 0: 0000bbcc
# Ciljni naslov MAC 6_0 Kanal 0: 8899aabb
# Ciljni naslov MAC 6_1 Kanal 0: 0000ccdd
# Ciljni naslov MAC 7_0 Kanal 0: 99aabbcc
# Ciljni naslov MAC 7_1 Kanal 0: 0000ddee
# Skupni kontrolni kanal eCPRI 0: 00000041
# Omogoči prekinitev skupnega nadzornega kanala eCPRI 0: 00000241
# različica eCPRI Kanal 0: 2
# Izvorni naslov MAC 0_0 Kanal 1: 33445566
# Izvorni naslov MAC 0_1 Kanal 1: 00007788
# Ciljni naslov MAC 0_0 Kanal 1: 33445566
# Ciljni naslov MAC 0_1 Kanal 1: 00007788
# Ciljni naslov MAC 1_0 Kanal 1: 11223344
# Ciljni naslov MAC 1_1 Kanal 1: 00005566
# Ciljni naslov MAC 2_0 Kanal 1: 22334455
# Ciljni naslov MAC 2_1 Kanal 1: 00006677
# Ciljni naslov MAC 3_0 Kanal 1: 44556677
# Ciljni naslov MAC 3_1 Kanal 1: 00008899
# Ciljni naslov MAC 4_0 Kanal 1: 66778899
# Ciljni naslov MAC 4_1 Kanal 1: 0000aabb
# Ciljni naslov MAC 5_0 Kanal 1: 778899aa
# Ciljni naslov MAC 5_1 Kanal 1: 0000bbcc
# Ciljni naslov MAC 6_0 Kanal 1: 8899aabb
# Ciljni naslov MAC 6_1 Kanal 1: 0000ccdd
# Ciljni naslov MAC 7_0 Kanal 1: 99aabbcc
# Ciljni naslov MAC 7_1 Kanal 1: 0000ddee
# Skupni kontrolni kanal eCPRI 1: 00000041
# Omogoči prekinitev skupnega nadzornega kanala eCPRI 1: 00000241
# različica eCPRI Kanal 1: 2
# Izvorni naslov MAC 0_0 Kanal 2: 33445566
# Izvorni naslov MAC 0_1 Kanal 2: 00007788
# Ciljni naslov MAC 0_0 Kanal 2: 33445566
# Ciljni naslov MAC 0_1 Kanal 2: 00007788
# Ciljni naslov MAC 1_0 Kanal 2: 11223344
# Ciljni naslov MAC 1_1 Kanal 2: 00005566
# Ciljni naslov MAC 2_0 Kanal 2: 22334455
# Ciljni naslov MAC 2_1 Kanal 2: 00006677
# Ciljni naslov MAC 3_0 Kanal 2: 44556677
# Ciljni naslov MAC 3_1 Kanal 2: 00008899
# Ciljni naslov MAC 4_0 Kanal 2: 66778899
# Ciljni naslov MAC 4_1 Kanal 2: 0000aabb
# Ciljni naslov MAC 5_0 Kanal 2: 778899aa
# Ciljni naslov MAC 5_1 Kanal 2: 0000bbcc
# Ciljni naslov MAC 6_0 Kanal 2: 8899aabb
# Ciljni naslov MAC 6_1 Kanal 2: 0000ccdd
# Ciljni naslov MAC 7_0 Kanal 2: 99aabbcc
# Ciljni naslov MAC 7_1 Kanal 2: 0000ddee
# Skupni kontrolni kanal eCPRI 2: 00000041
# Omogoči prekinitev skupnega nadzornega kanala eCPRI 2: 00000241
# različica eCPRI Kanal 2: 2
# Izvorni naslov MAC 0_0 Kanal 3: 33445566
# Izvorni naslov MAC 0_1 Kanal 3: 00007788
# Ciljni naslov MAC 0_0 Kanal 3: 33445566
# Ciljni naslov MAC 0_1 Kanal 3: 00007788
# Ciljni naslov MAC 1_0 Kanal 3: 11223344
# Ciljni naslov MAC 1_1 Kanal 3: 00005566
# Ciljni naslov MAC 2_0 Kanal 3: 22334455
# Ciljni naslov MAC 2_1 Kanal 3: 00006677
# Ciljni naslov MAC 3_0 Kanal 3: 44556677
# Ciljni naslov MAC 3_1 Kanal 3: 00008899
# Ciljni naslov MAC 4_0 Kanal 3: 66778899
# Ciljni naslov MAC 4_1 Kanal 3: 0000aabb
# Ciljni naslov MAC 5_0 Kanal 3: 778899aa
# Ciljni naslov MAC 5_1 Kanal 3: 0000bbcc
# Ciljni naslov MAC 6_0 Kanal 3: 8899aabb
# Ciljni naslov MAC 6_1 Kanal 3: 0000ccdd
# Ciljni naslov MAC 7_0 Kanal 3: 99aabbcc
# Ciljni naslov MAC 7_1 Kanal 3: 0000ddee
# Skupni kontrolni kanal eCPRI 3: 00000041
# Omogoči prekinitev skupnega nadzornega kanala eCPRI 3: 00000241
# različica eCPRI Kanal 3: 2
# Čakanje, da CPRI doseže stanje povezave HSYNC
# CPRI Kanal 0 Stanje HSYNC doseženo
# CPRI Kanal 1 Stanje HSYNC doseženo
# CPRI Kanal 2 Stanje HSYNC doseženo
# CPRI Kanal 3 Stanje HSYNC doseženo
# 11100250000 Zapišite 1 v nego_bitrate_complete
# 11100650000 Preizkušanje PROT_VER kanala 0
# __________________________________________________________
# 11100850000 Volišče: a0000010
# __________________________________________________________
# 13105050000 Preizkušanje PROT_VER kanala 1
# __________________________________________________________
# 13105250000 Volišče: a0800010
# __________________________________________________________
# 13105950000 Preizkušanje PROT_VER kanala 2
# __________________________________________________________
# 13106150000 Volišče: a1000010
# __________________________________________________________
# 13106850000 Preizkušanje PROT_VER kanala 3
# __________________________________________________________
# 13107050000 Volišče: a1800010
# __________________________________________________________
# 13107750000 Vpišite 1 v nego_protol_complete
# 13108150000 Glasovanje CM_STATUS.rx_fast_cm_ptr_valid Kanal 0
# __________________________________________________________
# 13108350000 Volišče: a0000020
# __________________________________________________________
# 14272050000 Glasovanje CM_STATUS.rx_fast_cm_ptr_valid Kanal 1
# __________________________________________________________
# 14272250000 Volišče: a0800020
# __________________________________________________________
# 14272950000 Glasovanje CM_STATUS.rx_fast_cm_ptr_valid Kanal 2
# __________________________________________________________
# 14273150000 Volišče: a1000020
# __________________________________________________________
# 14273850000 Glasovanje CM_STATUS.rx_fast_cm_ptr_valid Kanal 3
# __________________________________________________________
# 14274050000 Volišče: a1800020
# __________________________________________________________
# 14274750000 Vpišite 1 v nego_cm_complete
# 14275150000 Vpišite 1 v nego_vss_complete
# Čakanje na CPRI kanal 0, doseže HSYNC & zagonsko zaporedje FSM STATE_F
# CPRI Kanal 0 HSYNC & doseženo zagonsko zaporedje FSM STATE_F
# Čakanje na CPRI kanal 1, doseže HSYNC & zagonsko zaporedje FSM STATE_F
# CPRI Kanal 1 HSYNC & doseženo zagonsko zaporedje FSM STATE_F
# Čakanje na CPRI kanal 2, doseže HSYNC & zagonsko zaporedje FSM STATE_F
# CPRI Kanal 2 HSYNC & doseženo zagonsko zaporedje FSM STATE_F
# Čakanje na CPRI kanal 3, doseže HSYNC & zagonsko zaporedje FSM STATE_F
# CPRI Kanal 3 HSYNC & doseženo zagonsko zaporedje FSM STATE_F
# __________________________________________________________
# INFO: Izven stanja ponastavitve
# __________________________________________________________
#
#
# Kanal 0 Število SOP eCPRI TX: 0
# Kanal 0 eCPRI TX EOPs count: 0
# Kanal 0 Število SOP eCPRI RX: 0
# Kanal 0 Število EOP-jev eCPRI RX: 0
# Kanal 0 Število zunanjih PTP TX SOP: 0
# Kanal 0 Število zunanjih PTP TX EOP: 0
# Kanal 0 Število zunanjih SOP MISC TX: 0
# Kanal 0 Število zunanjih MISC TX EOP: 0
# Kanal 0 Število zunanjih SOP RX: 0
# Kanal 0 Število zunanjih RX EOP: 0
# Kanal 1 Število SOP eCPRI TX: 0
# Kanal 1 eCPRI TX EOPs count: 0
# Kanal 1 Število SOP eCPRI RX: 0
# Kanal 1 Število EOP-jev eCPRI RX: 0
# Kanal 1 Število zunanjih PTP TX SOP: 0
# Kanal 1 Število zunanjih PTP TX EOP: 0
# Kanal 1 Število zunanjih SOP MISC TX: 0
# Kanal 1 Število zunanjih MISC TX EOP: 0
# Kanal 1 Število zunanjih SOP RX: 0
# Kanal 1 Število zunanjih RX EOP: 0
# Kanal 2 Število SOP eCPRI TX: 0
# Kanal 2 eCPRI TX EOPs count: 0
# Kanal 2 Število SOP eCPRI RX: 0
# Kanal 2 Število EOP-jev eCPRI RX: 0
# Kanal 2 Število zunanjih PTP TX SOP: 0
# Kanal 2 Število zunanjih PTP TX EOP: 0
# Kanal 2 Število zunanjih SOP MISC TX: 0
# Kanal 2 Število zunanjih MISC TX EOP: 0
# Kanal 2 Število zunanjih SOP RX: 0
# Kanal 2 Število zunanjih RX EOP: 0
# Kanal 3 Število SOP eCPRI TX: 0
# Kanal 3 eCPRI TX EOPs count: 0
# Kanal 3 Število SOP eCPRI RX: 0
# Kanal 3 Število EOP-jev eCPRI RX: 0
# Kanal 3 Število zunanjih PTP TX SOP: 0
# Kanal 3 Število zunanjih PTP TX EOP: 0
# Kanal 3 Število zunanjih SOP MISC TX: 0
# Kanal 3 Število zunanjih MISC TX EOP: 0
# Kanal 3 Število zunanjih SOP RX: 0
# Kanal 3 Število zunanjih RX EOP: 0
# __________________________________________________________
# INFO: Začnite pošiljati pakete
# __________________________________________________________
#
#
# INFO: Čakanje na dokončanje prenosa prometa eCPRI TX kanala 0
# INFO: Prenos prometa eCPRI TX kanala 0 je končan
# INFO: Čakanje na prenos prometa eCPRI zunanjega TX PTP kanala 0
popolna
# INFO: Kanal 0 eCPRI Zunanji TX PTP prenos prometa je končan
# INFO: Čakanje na kanal 0 eCPRI External TX Misc prenos prometa na
popolna
# INFO: Kanal 0 eCPRI Zunanji TX Razni prenos prometa je končan
# INFO: Čakanje na dokončanje prenosa prometa eCPRI TX kanala 1
# INFO: Prenos prometa eCPRI TX kanala 1 je končan
# INFO: Čakanje na prenos prometa eCPRI zunanjega TX PTP kanala 1
popolna
# INFO: Kanal 1 eCPRI Zunanji TX PTP prenos prometa je končan
# INFO: Čakanje na kanal 1 eCPRI External TX Misc prenos prometa na
popolna
# INFO: Kanal 1 eCPRI Zunanji TX Razni prenos prometa je končan
# INFO: Čakanje na dokončanje prenosa prometa eCPRI TX kanala 2
# INFO: Prenos prometa eCPRI TX kanala 2 je končan
# INFO: Čakanje na prenos prometa eCPRI zunanjega TX PTP kanala 2
popolna
# INFO: Kanal 2 eCPRI Zunanji TX PTP prenos prometa je končan
# INFO: Čakanje na kanal 2 eCPRI External TX Misc prenos prometa na
popolna
# INFO: Kanal 2 eCPRI Zunanji TX Razni prenos prometa je končan
# INFO: Čakanje na dokončanje prenosa prometa eCPRI TX kanala 3
# INFO: Prenos prometa eCPRI TX kanala 3 je končan
# INFO: Čakanje na prenos prometa eCPRI zunanjega TX PTP kanala 3
popolna
# INFO: Kanal 3 eCPRI Zunanji TX PTP prenos prometa je končan
# INFO: Čakanje na kanal 3 eCPRI External TX Misc prenos prometa na
popolna
# INFO: Kanal 3 eCPRI Zunanji TX Razni prenos prometa je končan
# __________________________________________________________
# INFO: Ustavite oddajanje paketov
# __________________________________________________________
#
#
# __________________________________________________________
# INFO: Preverjanje statistike paketov
# __________________________________________________________
#
#
# Kanal 0 eCPRI SOP poslani: 50
# Kanal 0 eCPRI EOP poslani: 50
# Prejeti SOP eCPRI za kanal 0: 50
# prejetih eCPRI EOP-jev kanala 0: 50
# Kanal 0 Prijavljena napaka eCPRI: 0
# Kanal 0 Preneseni zunanji PTP SOP: 4
# Kanal 0 Preneseni zunanji PTP EOP: 4
# Kanal 0 Zunanji MISC SOP poslani: 128
# Kanal 0 Zunanji MISC EOP poslani: 128
# Prejeti zunanji SOP kanala 0: 132
# Kanal 0 Prejeti zunanji EOP-ji: 132
# Kanal 0 Prejeti zunanji PTP SOP: 4
# Kanal 0 Prejeti zunanji PTP EOP: 4
# Kanal 0 Prejeti zunanji MISC SOP: 128
# Kanal 0 Prejeti zunanji MISC EOP-ji: 128
# Kanal 0 Prijavljena zunanja napaka: 0
# Kanal 0 Zunanji časovnikamp Prijavljena napaka prstnega odtisa: 0
# Kanal 1 eCPRI SOP poslani: 50
# Kanal 1 eCPRI EOP poslani: 50
# Prejeti SOP eCPRI za kanal 1: 50
# prejetih eCPRI EOP-jev kanala 1: 50
# Kanal 1 Prijavljena napaka eCPRI: 0
# Kanal 1 Preneseni zunanji PTP SOP: 4
# Kanal 1 Preneseni zunanji PTP EOP: 4
# Kanal 1 Zunanji MISC SOP poslani: 128
# Kanal 1 Zunanji MISC EOP poslani: 128
# Prejeti zunanji SOP kanala 1: 132
# Kanal 1 Prejeti zunanji EOP-ji: 132
# Kanal 1 Prejeti zunanji PTP SOP: 4
# Kanal 1 Prejeti zunanji PTP EOP: 4
# Kanal 1 Prejeti zunanji MISC SOP: 128
# Kanal 1 Prejeti zunanji MISC EOP-ji: 128
# Kanal 1 Prijavljena zunanja napaka: 0
# Kanal 1 Zunanji časovnikamp Prijavljena napaka prstnega odtisa: 0
# Kanal 2 eCPRI SOP poslani: 50
# Kanal 2 eCPRI EOP poslani: 50
# Prejeti SOP eCPRI za kanal 2: 50
# prejetih eCPRI EOP-jev kanala 2: 50
# Kanal 2 Prijavljena napaka eCPRI: 0
# Kanal 2 Preneseni zunanji PTP SOP: 4
# Kanal 2 Preneseni zunanji PTP EOP: 4
# Kanal 2 Zunanji MISC SOP poslani: 128
# Kanal 2 Zunanji MISC EOP poslani: 128
# Prejeti zunanji SOP kanala 2: 132
# Kanal 2 Prejeti zunanji EOP-ji: 132
# Kanal 2 Prejeti zunanji PTP SOP: 4
# Kanal 2 Prejeti zunanji PTP EOP: 4
# Kanal 2 Prejeti zunanji MISC SOP: 128
# Kanal 2 Prejeti zunanji MISC EOP-ji: 128
# Kanal 2 Prijavljena zunanja napaka: 0
# Kanal 2 Zunanji časovnikamp Prijavljena napaka prstnega odtisa: 0
# Kanal 3 eCPRI SOP poslani: 50
# Kanal 3 eCPRI EOP poslani: 50
# Prejeti SOP eCPRI za kanal 3: 50
# prejetih eCPRI EOP-jev kanala 3: 50
# Kanal 3 Prijavljena napaka eCPRI: 0
# Kanal 3 Preneseni zunanji PTP SOP: 4
# Kanal 3 Preneseni zunanji PTP EOP: 4
# Kanal 3 Zunanji MISC SOP poslani: 128
# Kanal 3 Zunanji MISC EOP poslani: 128
# Prejeti zunanji SOP kanala 3: 132
# Kanal 3 Prejeti zunanji EOP-ji: 132
# Kanal 3 Prejeti zunanji PTP SOP: 4
# Kanal 3 Prejeti zunanji PTP EOP: 4
# Kanal 3 Prejeti zunanji MISC SOP: 128
# Kanal 3 Prejeti zunanji MISC EOP-ji: 128
# Kanal 3 Prijavljena zunanja napaka: 0
# Kanal 3 Zunanji časovnikamp Prijavljena napaka prstnega odtisa: 0
# __________________________________________________________
# INFO: Test OPRAVLJEN
#
# __________________________________________________________
1.4.1. Omogočanje dinamične rekonfiguracije za Ethernet IP
Privzeto je dinamična ponovna konfiguracija onemogočena v načrtu eCPRI IP example in velja le za zasnovo Intel Stratix 10 (E-tile in H-tile) in Intel Agilex 7 (E-tile) examples.
- Poiščite naslednjo vrstico v test_wrapper.sv iz ustvarjenegaampimenik le_dir>/simulation/testbench: parameter ETHERNET_DR_EN = 0
- Spremenite vrednost iz 0 v 1: parameter ETHERNET_DR_EN = 1
- Ponovno zaženite simulacijo z uporabo istega generiranega example oblikovalski imenik.
1.5. Prevajanje projekta samo za kompilacijo
Za prevajanje samo kompilacije example projekt, sledite tem korakom:
- Zagotovite načrt kompilacije nprample generacija je končana.
- V programski opremi Intel Quartus Prime Pro Edition odprite projekt Intel Quartus Prime Pro Editionample_dir>/synthesis/quartus/ ecpri_ed.qpf.
- V meniju Obdelava kliknite Začni kompilacijo.
- Po uspešnem prevajanju so poročila za časovno razporeditev in uporabo virov na voljo v vaši seji Intel Quartus Prime Pro Edition. Pojdite na Obdelava ➤ Poročilo o kompilaciji view podrobno poročilo o sestavljanju.
Povezane informacije
Tokovi načrtovanja na osnovi blokov
1.6. Prevajanje in konfiguriranje načrta Example v strojni opremi
Za sestavljanje načrta strojne opreme nprampdatoteko in jo konfigurirajte v napravi Intel, sledite tem korakom:
- Zagotovite načrt strojne opreme nprample generacija je končana.
- V programski opremi Intel Quartus Prime Pro Edition odprite projekt Intel Quartus Primeample_dir>/synthesis/quartus/ecpri_ed.qpf.
- V meniju Obdelava kliknite Začni kompilacijo.
- Po uspešni kompilaciji je .sof file je na voljo vample_dir>/ synthesis/quartus/output_files imenik. Sledite tem korakom za programiranje zasnove strojne opreme nprampna napravi Intel FPGA:
a. Povežite razvojni komplet z gostiteljskim računalnikom.
b. Zaženite aplikacijo Clock Control, ki je del razvojnega kompleta, in nastavite nove frekvence za design example. Spodaj je nastavitev frekvence v aplikaciji Clock Control:
• Če načrtujete razvojni komplet Intel Stratix 10 GX SI:
— U5, OUT8- 100 MHz
— U6, OUT3- 322.265625 MHz
— U6, OUT4 in OUT5- 307.2 MHz
• Če načrtujete razvojni komplet Intel Stratix 10 TX SI:
— U1, CLK4- 322.265625 MHz (za hitrost prenosa podatkov 25G)
— U6- 156.25 MHz (za hitrost prenosa podatkov 10G)
— U3, OUT3- 100 MHz
— U3, OUT8- 153.6 MHz
• Če svojo zasnovo ciljate na razvojni komplet Intel Agilex 7 F-Series Transceiver-SoC:
— U37, CLK1A- 100 MHz
— U34, CLK0P- 156.25 MHz
— U38, OUT2_P- 153.6 MHz
• Če načrtujete razvojni komplet Intel Arria 10 GX SI:
— U52, CLK0- 156.25 MHz
— U52, CLK1- 250 MHz
— U52, CLK3- 125 MHz
— Y5- 307.2 MHz
— Y6- 322.265625 MHz
c. V meniju Orodja kliknite Programer.
d. V Programerju kliknite Nastavitev strojne opreme.
e. Izberite napravo za programiranje.
f. Izberite in dodajte razvojni komplet, s katerim se lahko poveže vaša seja Intel Quartus Prime Pro Edition.
g. Prepričajte se, da je način nastavljen na JTAG.
h. Izberite napravo in kliknite Dodaj napravo. Programer prikaže blokovni diagram povezav med napravami na vaši plošči.
jaz. Naložite .sof file na vašo ustrezno napravo Intel FPGA.
j. Naloži izvršljivo in povezovalno obliko (.elf) file na vaš Intel Stratix 10 oz
Naprava Intel Agilex 7, če nameravate izvesti dinamično rekonfiguracijo (DR) za preklop podatkovne hitrosti med 25G in 10G. Sledite navodilom iz programiranja za ustvarjanje in prenos izvršljive datoteke in povezovalnega formata (.elf). File na strani 38 za ustvarjanje datoteke .elf file.
k. V vrstici z vašim .sof potrdite polje Program/Configure za .sof file.
l. Kliknite Start.
Povezane informacije
- Oblikovanje na osnovi blokov
- Uporabniški priročnik za programer Intel Quartus Prime
- Analiziranje in odpravljanje napak v načrtih s sistemsko konzolo
- Uporabniški priročnik za razvojni komplet za oddajnik-SoC Intel Agilex 7 F-Series
- Uporabniški priročnik za komplet za razvoj celovitosti signala oddajnika Intel Stratix 10 GX
- Uporabniški priročnik za komplet za razvoj celovitosti signala oddajnika Intel Stratix 10 TX
- Uporabniški priročnik za komplet za razvoj celovitosti signala oddajnika Intel Arria 10 GX
1.7. Testiranje eCPRI Intel FPGA IP Design Example
Ko prevedete zasnovo jedra IP eCPRI Intel FPGA, nprampin ga konfigurirate v svoji napravi Intel FPGA, lahko uporabite sistemsko konzolo za programiranje jedra IP in njegovih vdelanih registrov jedra Native PHY IP.
Za vklop sistemske konzole in preizkus zasnove strojne opreme nprample, sledite tem korakom:
- Po zasnovi strojne opreme nprampDatoteka je konfigurirana v napravi Intel, v programski opremi Intel Quartus Prime Pro Edition v meniju Orodja kliknite Orodja za razhroščevanje sistema ➤ Sistemska konzola.
- V podoknu Tcl Console spremenite imenik vample_dir>/ synthesis/quartus/hardware_test in vnesite naslednji ukaz, da odprete povezavo z JTAG master in začnite s testom:
• vir ecpri_agilex.tcl za modele Intel Agilex 7
• vir ecpri_s10.tcl za modele Intel Stratix 10
• vir ecpri_a10.tcl za modele Intel Arria 10 - Za vaše različice naprave Intel Stratix 10 ali Intel Agilex 7 E-tile morate izvesti notranji ali zunanji ukaz povratne zanke enkrat, potem ko programirate .sof file:
a. Spremenite spremenljivko TEST_MODE v toku.c file za izbiro načina povratne zanke:TESTNI NAČIN Akcija 0 Omogočanje serijske povratne zanke samo za simulacijo 1 Omogočanje serijske povratne zanke samo za strojno opremo 2 Serijska povratna zanka in kalibracija 3 Samo kalibracija Programsko opremo NIOS II morate znova prevesti in znova generirati, kadarkoli spremenite tok.c file.
b. Regenerirajte .elf file in programirajte na ploščo še enkrat in ponovno programirajte .sof file. - Preizkusite operacijo načrtovanja z ukazi, podprtimi v skriptu sistemske konzole. Skript sistemske konzole ponuja uporabne ukaze za branje statističnih podatkov in omogočanje funkcij v načrtovanju.
Tabela 4. Ukazi skripta sistemske konzole
| Ukaz | Opis |
| loop_on | Omogoča notranjo serijsko povratno zanko TX v RX. Uporabljajte samo za naprave Intel Stratix 10 H-tile in Intel Arria 10. |
| loop_off | Onemogoči notranjo serijsko povratno zanko TX v RX. Uporabljajte samo za naprave Intel Stratix 10 H-tile in Intel Arria 10. |
| povezava _ init _ int _1pbk | Omogoča notranjo serijsko povratno zanko od TX do RX znotraj oddajnika-sprejemnika in izvede potek kalibracije oddajnika-sprejemnika. Velja samo za modele Intel Stratix 10 E-tile in Intel Agilex 7 E-tile. |
| link _ init _ ext _1pbk | Omogoča zunanjo povratno zanko od TX do RX in izvede potek kalibracije oddajnika. Velja samo za modele Intel Stratix 10 E-tile in Intel Agilex 7 E-tile. |
| promet gen onemogoči | Onemogoči generator prometa in preverjalnik. |
| chkmac statistika | Prikaže statistiko za Ethernet MAC. |
| preberi statistiko testa | Prikaži statistiko napak za generator prometa in pregledovalce. |
| ext _ neprekinjen _ način _en | Ponastavi celoten sistem načrtovanja in generatorju prometa omogoči ustvarjanje neprekinjenih paketov prometa. |
| dr _ 25g _ do _ lOg _etile | Preklopi hitrost prenosa podatkov Ethernet MAC s 25G na 10G. Uporabljajte samo za naprave Intel Stratix 10 E-tile in Intel Agilex 7 E-tile. |
| dr_25g_to_10g_htile | Preklopi hitrost prenosa podatkov Ethernet MAC s 25G na 10G. Uporabljajte samo za naprave s ploščicami H |
| dr_10g_do_25g_etile | Preklopi hitrost prenosa podatkov Ethernet MAC s 10G na 25G. Uporabljajte samo za naprave Intel Stratix 10 E-tile in Intel Agilex 7 E-tile. |
| dr _ 25g _ do _ lOg _htile | Preklopi hitrost prenosa podatkov Ethernet MAC z 10G na 25G. Uporabljajte samo za naprave s ploščicami H. |
Naslednji sampizhod datoteke ponazarja uspešen testni zagon:
Izpis sistemske konzole (število kanalov = 1)
Kanal 0 EXT PTP TX SOP Število: 256
Kanal 0 EXT PTP TX EOP Število: 256
Kanal 0 EXT MISC TX SOP Število: 36328972
Kanal 0 EXT MISC TX EOP Število: 36369511
Kanal 0 EXT RX SOP Število: 36410364
Kanal 0 EXT RX EOP Število: 36449971
Napake preverjalnika kanala 0 EXT: 0
Število napak preverjanja kanala 0 EXT: 0
Kanal 0 EXT PTP Napake prstnega odtisa: 0
Kanal 0 EXT PTP Štetje napak prstnega odtisa: 0
Kanal 0 TX SOP Število: 1337760
Kanal 0 TX EOP Število: 1339229
Kanal 0 RX SOP Število: 1340728
Kanal 0 RX EOP Število: 1342555
Napake preverjalnika kanala 0: 0
Število napak preverjanja kanala 0: 0
==================================================== ============================
==============
STATISTIKA ETHERNET MAC ZA kanal 0 (Rx)
==================================================== ============================
==============
Fragmentirani okvirji: 0
Jabbered okvirji: 0
Prava velikost z okvirji FCS Err: 0
Multicast podatkov Err Frames : 0
Podatki oddajanja Err Frames : 0
Podatki Unicast Err Frames: 0
64-bajtni okvirji: 3641342
65 – 127-bajtni okvirji: 0
128 – 255-bajtni okvirji: 37404809
256 – 511-bajtni okvirji: 29128650
512 – 1023-bajtni okvirji: 0
1024 – 1518-bajtni okvirji: 0
1519 – MAX bajtnih okvirjev: 0
> MAX bajtni okvirji: 0
Multicast podatki OK Frame : 70174801
Oddajni podatki OK Frame : 0
Podatki Unicast OK Frames : 0
Nadzorni okvirji za večoddajanje: 0
Nadzorni okvirji oddajanja: 0
Nadzorni okvirji Unicast: 0
Zaustavi nadzorne okvirje: 0
Okteti nosilnosti v redu: 11505935812
Okteti okvirja OK: 12918701444
Rx Največja dolžina okvirja: 1518
Poljubna velikost z okvirjem FCS Err : 0
Multicast nadzor Err Frame : 0
Nadzor oddajanja Err Frame : 0
Unicast nadzor Err Frames: 0
Pause control Err Frames : 0
Začetki Rx okvirja: 70174801
Sledi sampizhod datoteke za preskusno vožnjo 25G do 10G DR:
Izpis sistemske konzole (25G do 10G DR E-ploščica)
Zaženite dinamično rekonfiguracijo za Ethernet 25G -> 10G
DR Uspešno 25G -> 10G
Dostop do registra RX PHY: preverjanje frekvenc ure (KHz)
TXCLK: 16114 (KHZ)
RXCLK: 16113 (KHZ)
RX PHY Status Polling
Stanje zaklepanja frekvence Rx 0x0000000f
Ura Mac v dobrem stanju? 0x00000001
Rx Frame Error? 0x00000000
Rx PHY popolnoma usklajen? 0x00000001
Polling RX PHY kanal 0
RX PHY kanal 0 deluje!
Izpis sistemske konzole (25G do 10G DR H-ploščica)
Zaženite dinamično rekonfiguracijo za Ethernet 25G -> 10G
DR Uspešno 25G -> 10G
Dostop do registra RX PHY: preverjanje frekvenc ure (KHz)
TXCLK: 15625 (KHZ)
RXCLK: 15625 (KHZ)
RX PHY Status Polling
Stanje zaklepanja frekvence Rx 0x00000001
Ura Mac v dobrem stanju? 0x00000007
Rx Frame Error? 0x00000000
Rx PHY popolnoma usklajen? 0x00000001
Polling RX PHY kanal 0
RX PHY kanal 0 deluje!
Izpis sistemske konzole (10G do 25G DR E-ploščica)
Zaženite dinamično rekonfiguracijo za Ethernet 10G -> 25G
DR Uspešno 10G -> 25G
Dostop do registra RX PHY: preverjanje frekvenc ure (KHz)
TXCLK: 40283 (KHZ)
RXCLK: 40283 (KHZ)
RX PHY Status Polling
Stanje zaklepanja frekvence Rx 0x0000000f
Ura Mac v dobrem stanju? 0x00000001
Rx Frame Error? 0x00000000
Rx PHY popolnoma usklajen? 0x00000001
Polling RX PHY kanal 0
RX PHY kanal 0 deluje!
Izpis sistemske konzole (10G do 25G DR H-ploščica)
Zaženite dinamično rekonfiguracijo za Ethernet 10G -> 25G
DR Uspešno 10G -> 25G
Dostop do registra RX PHY: preverjanje frekvenc ure (KHz)
TXCLK: 39061 (KHZ)
RXCLK: 39063 (KHZ)
RX PHY Status Polling
Stanje zaklepanja frekvence Rx 0x00000001
Ura Mac v dobrem stanju? 0x00000007
Rx Frame Error? 0x00000000
Rx PHY popolnoma usklajen? 0x00000001
Polling RX PHY kanal 0
RX PHY kanal 0 deluje!
Oblikovanje Example Opis
Dizajn example prikazuje osnovno funkcionalnost jedra IP eCPRI. Dizajn lahko ustvarite iz Example zavihek Design v urejevalniku parametrov eCPRI IP.
2.1. Lastnosti
- Notranji način serijske povratne zanke TX in RX
- Samodejno ustvari pakete fiksne velikosti
- Osnovne zmožnosti preverjanja paketov
- Sposobnost uporabe sistemske konzole za testiranje zasnove in ponastavitev zasnove za namen ponovnega testiranja
2.2. Oblikovanje strojne opreme, nprample
Slika 5. Blokovni diagram za modele F-ploščic Intel Agilex 7
Intel Corporation. Vse pravice pridržane. Intel, logotip Intel in druge znamke Intel so blagovne znamke družbe Intel Corporation ali njenih podružnic. Intel jamči za delovanje svojih izdelkov FPGA in polprevodnikov v skladu s trenutnimi specifikacijami v skladu z Intelovo standardno garancijo, vendar si pridržuje pravico do sprememb katerega koli izdelka in storitve kadar koli brez predhodnega obvestila. Intel ne prevzema nobene odgovornosti ali obveznosti, ki izhaja iz uporabe ali uporabe katere koli informacije, izdelka ali storitve, opisanih tukaj, razen če je Intel izrecno pisno privolil v to. Intelovim strankam svetujemo, da pridobijo najnovejšo različico specifikacij naprave, preden se zanesejo na kakršne koli objavljene informacije in preden oddajo naročila za izdelke ali storitve. *Druga imena in blagovne znamke so lahko last drugih.
Slika 6. Blokovni diagram za zasnove e-ploščic Intel Agilex 7
Slika 7. Blokovni diagram za modele Intel Stratix 10
Slika 8. Blokovni diagram za modele Intel Arria 10
Zasnova jedrne strojne opreme eCPRI Intel FPGA IP nprampvsebuje naslednje komponente:
eCPRI Intel FPGA IP
Sprejema podatke od generatorjev prometa, instanciranih v testnem ovoju, in daje prednost podatkom za prenos v Ethernet IP.
Ethernet IP
- F-tile Ethernet Intel FPGA Hard IP (Intel Agilex 7 F-tile designs)
- E-tile Hard IP za Ethernet (Intel Stratix 10 ali Intel Agilex 7 E-tile designs)
- 25G Ethernet Intel Stratix 10 IP (Intel Stratix 10 H-tile designs)
- Ethernet z nizko zakasnitvijo 10G MAC IP in 1G/10GbE ter 10GBASE-KR PHY IP (zasnove Intel Arria 10)
Precision Time Protocol (PTP) IO PLL
Za modele H-ploščic Intel Stratix 10 – ustvarjen za ustvarjanje vhodne referenčne ure meritve zakasnitve za Ethernet IP in samplingova ura za podsistem Time of Day (TOD). Za 25G Ethernet Intel Stratix 10 FPGA IP s funkcijo IEEE 1588v2 Intel priporoča, da frekvenco te ure nastavite na 156.25 MHz. Za več informacij glejte uporabniški priročnik za 25G Ethernet Intel Stratix 10 FPGA IP in uporabniški priročnik PHY za oddajnik-sprejemnik Intel Stratix 10 H-tile. PTP IOPLL tudi generira referenčno uro za eCPRI IO PLL na kaskadni način.
Za zasnove Intel Arria 10 – instancirano za ustvarjanje taktnih vhodov 312.5 MHz in 156.25 MHz za Ethernet z nizko zakasnitvijo 10G MAC IP in 1G/10GbE, 10GBASE-KR PHY IP in eCPRI IP.
eCPRI IO PLL
Generira izhodno frekvenco jedra 390.625 MHz za pot TX in RX eCPRI IP in komponente prometa.
Opomba: Ta blok je prisoten samo v zasnovi nprampustvarjen za naprave Intel Stratix 10 in Intel Agilex 7.
Opomba: Trenutna različica eCPRI Intel FPGA IP podpira samo IWF tipa 0. Za naprave Intel Agilex 7 F-tile je zasnova exampdatoteka, omogočena s funkcijo IWF, ni podprta.
Ko ustvarite načrt nprampz izklopljenim parametrom podpore za funkcijo medsebojnega delovanja (IWF), paketni promet teče neposredno od testnega ovojnega modula do vmesnika izvor/ponor Avalon-ST in vmesnika zunanjega izvora/ponora eCPRI IP.
Ko ustvarite načrt nprampz vklopljenim parametrom podpore za funkcijo medsebojnega delovanja (IWF), paketni promet teče do vmesnika ponora IWF Avalon-ST najprej od testnega ovojnega modula in prihaja iz izvornega vmesnika IWF Avalon-ST v izvor/ponor eCPRI Avalon-ST vmesnik.
CPRI MAC
Zagotavlja del CPRI sloja 1 in polne protokole sloja 2 za prenos uporabniške ravnine, C&M in informacij o sinhronizaciji med REC in RE ter med dvema RE,
CPRI PHY
Zagotavlja preostali del protokola CPRI plasti 1 za kodiranje linij, odpravljanje/odkrivanje bitnih napak itd.
Opomba: CPRI MAC in CPRI PHY IP, instancirana v tej zasnovi nprampDatoteke so konfigurirane tako, da delujejo samo pri enojni linijski hitrosti CPRI 9.8 Gbps. Dizajn example ne podpira samodejnega pogajanja o hitrosti linije v trenutni izdaji.
Testni ovoj
Sestavljen je iz generatorjev prometa in preverjalnikov, ki generirajo različne nabore podatkovnih paketov za vmesnike Avalon Streaming (Avalon-ST) eCPRI IP, kot je prikazano spodaj:
- Paketi eCPRI do vmesnikov izvora/ponora Avalon-ST (funkcija IWF onemogočena):
— Podpira le sporočilo vrste 2.
— Generiranje načina Back-to-back z generiranjem inkrementalnega načina vzorca in velikostjo koristnega tovora 72 bajtov za vsak paket.
— Nastavljiv prek CSR za delovanje v neprekinjenem ali neprekinjenem načinu.
— Statistični status paketa TX/RX je na voljo za dostop prek CSR. - Paketi eCPRI do vmesnikov izvora/ponora Avalon-ST (omogočena funkcija IWF):
— Podpira samo vrsto sporočila 0 v trenutni izdaji.
— Generiranje inkrementalnega načina vzorca z generiranjem vrzeli med paketi in velikostjo koristnega tovora 240 bajtov za vsak paket.
— Nastavljiv prek CSR za delovanje v neprekinjenem ali neprekinjenem načinu.
— Statistični status paketa TX/RX je na voljo za dostop prek CSR. - Paket Precision Time Protocol (1588 PTP) in razni paketi, ki niso PTP, do zunanjih vmesnikov vira/ponora:
— Generiranje statične ethernetne glave z vnaprej določenimi parametri: Ethertype0x88F7, Vrsta sporočila - Opcode 0 (Sync) in PTP version-0.
— Generiranje vnaprej določenega vzorčnega načina z medpaketno vrzeljo 2 ciklov in velikostjo koristnega tovora 57 bajtov za vsak paket.
— V obdobju ene sekunde se ustvari 128 paketov.
— Nastavljiv prek CSR za delovanje v neprekinjenem ali neprekinjenem načinu.
— Statistični status paketa TX/RX je na voljo za dostop prek CSR. - Razni zunanji paketi, ki niso PTP:
— Generiranje statične ethernetne glave z vnaprej določenim parametrom, Ethertype- 0x8100 (ne-PTP).
— Generiranje načina vzorca PRBS z medpaketno vrzeljo 2 ciklov in velikostjo koristnega tovora 128 bajtov za vsak paket.
— Nastavljiv prek CSR za delovanje v neprekinjenem ali neprekinjenem načinu.
— Statistični status paketa TX/RX je na voljo za dostop prek CSR.
Podsistem Čas dneva (TOD).
Vsebuje dva modula IEEE 1588 TOD za TX in RX ter en modul IEEE 1588 TOD Synchronizer, ki ga ustvari programska oprema Intel Quartus Prime.
Podsistem Nios® II
Sestavljen je iz mostu Avalon-MM, ki omogoča arbitražo podatkov Avalon-MM med procesorjem Nios II, testnim ovojom in bloki dekoderja naslovov Avalon® -MM.
Nios II je odgovoren za preklop podatkovne hitrosti na podlagi izhoda iz vrednosti registra rate_switch testnega ovoja. Ta blok programira potreben register, ko prejme ukaz od testnega ovoja.
Opomba: Ta blok ni prisoten v načrtu exampustvarjen za naprave Intel Arria 10 in Intel Agilex 7 F-tile.
Sistemska konzola
Zagotavlja uporabniku prijazen vmesnik za prvostopenjsko odpravljanje napak in spremljanje statusa IP ter generatorjev in preverjalnikov prometa.
Demo nadzor
Ta modul je sestavljen iz modulov sinhronizatorja ponastavitve in modulov ISSP (In-system Source and Probe) za načrtovanje sistema za odpravljanje napak in postopek inicializacije.
Povezane informacije
- 25G Ethernet Intel Stratix 10 FPGA IP Uporabniški priročnik
- Uporabniški priročnik za trdi IP za E-tile
- eCPRI Intel FPGA IP uporabniški priročnik
- 25G Ethernet Intel Stratix 10 FPGA IP Design Example Uporabniški priročnik
- E-tile Hard IP za Intel Stratix 10 Design Examples Uporabniški priročnik
- Uporabniški priročnik za oddajnik-sprejemnik Intel Stratix 10 L in H-Tile PHY
- Uporabniški priročnik za oddajnik-sprejemnik E-Tile PHY
- Uporabniški priročnik za Intel Stratix 10 10GBASE-KR PHY IP
- E-tile Hard IP Intel Agilex Design Example Uporabniški priročnik
2.3. Oblikovanje simulacije Example
Zasnova eCPRI nprample ustvari simulacijsko testno napravo in simulacijo files, ki ustvari primerek jedra eCPRI Intel FPGA IP, ko izberete možnost Simulacija ali Sinteza in simulacija.
Slika 9. eCPRI Intel FPGA IP simulacijski blokovni diagram
Opomba: Blok podsistema Nios II ni prisoten v zasnovi nprampustvarjen za naprave Intel Arria 10 in Intel Agilex 7 F-tile.
V tej zasnovi nprample, preskusna naprava za simulacijo zagotavlja osnovne funkcije, kot so zagon in čakanje na zaklepanje, pošiljanje in sprejemanje paketov.
Uspešen preskusni zagon prikaže izpis, ki potrjuje naslednje vedenje:
- Logika odjemalca ponastavi jedro IP.
- Odjemalska logika čaka na poravnavo podatkovne poti RX.
- Odjemalska logika prenaša pakete na vmesniku Avalon-ST.
- Sprejema in preverja vsebino in pravilnost paketov.
- Prikažite sporočilo »Test PASSED«.
2.4. Vmesniški signali
Tabela 5. Dizajn Example vmesniški signali
| Signal | Smer | Opis |
| clk_ref | Vnos | Referenčna ura za Ethernet MAC. • Za zasnove Intel Stratix 10 E-tile, Intel Agilex 7 E-tile in F-tile, vhod ure 156.25 MHz za jedro Ethernet Hard IP E-tile ali jedro Ethernet Hard IP F-tile. Povežite se z i_clk_ref[0] v Ethernet Hard IP. • Za modele ploščic Intel Stratix 10 H, vhod ure 322.2625 MHz za oddajnik-sprejemnik ATX PLL in 25G Ethernet IP. Povežite se s pll_refclk0[0] v oddajniku ATX PLL in clk_ref[0] v 25G Ethernet IP. • Za modele Intel Arria 10, vhod takta 322.265625 MHz za oddajnik-sprejemnik ATX PLL ter 1G/10GbE in 10GBase-KR PHY IP. Povežite se s pll_refclk0[0] v oddajniku ATX PLL in rx_cdr_ref_clk_10g[0] v 1G/10GbE in 10G BASE-KR PHY IP. |
| tod_sync_sampling_clk | Vnos | Za modele Intel Arria 10, vhod takta 250 MHz za podsistem TOD. |
| clk100 | Vnos | Vodilna ura. Ta ura se uporablja za ustvarjanje latency_clk za PTP. Pogon na 100 MHz. |
| mgmt_reset_n | Vnos | Reset signal za sistem Nios II. |
| tx_serial | Izhod | TX serijski podatki. Podpira do 4 kanale. |
| rx_serial | Vnos | RX serijski podatki. Podpira do 4 kanale. |
| iwf_cpri_ehip_ref_clk | Vnos | E-ploščica CPRI PHY vhod referenčne ure. Ta ura je prisotna samo v Intel Stratix 10 E-tile in Intel Dizajni E-ploščic Agilex 7. Poganjajte pri 153.6 MHz za 9.8 Gbps CPRI linijsko hitrost. |
| iwf_cpri_pll_refclk0 | Izhod | Referenčna ura CPRI TX PLL. • Za modele ploščic Intel Stratix 10 H: pogon na 307.2 MHz za podatkovno hitrost CPRI 9.8 Gbps. • Za dizajne Intel Stratix 10 E-tile in Intel Agilex 7 E-tile: pogon pri 156.25 MHz za podatkovno hitrost CPRI 9.8 Gbps. |
| iwf_cpri_xcvr_cdr_refclk | Izhod | Referenčna ura CDR sprejemnika CPRI. Ta ura je prisotna samo v modelih ploščic Intel Stratix 10 H. Poganjajte pri 307.2 MHz za 9.8 Gbps CPRI linijsko hitrost. |
| iwf_cpri_xcvr_txdataout | Izhod | CPRI prenaša serijske podatke. Podpira do 4 kanale. |
| iwf_cpri_xcvr_rxdatain | Izhod | Serijski podatki sprejemnika CPRI. Podpira do 4 kanale. |
| cpri_gmii_clk | Vnos | CPRI GMII 125 MHz vhodna ura. |
Povezane informacije
Signali vmesnika PHY
Navaja signale vmesnika PHY 25G Ethernet Intel FPGA IP.
2.5. Oblikovanje Example Register Map
Spodaj je preslikava registra za zasnovo jedra IP eCPRI nprample:
Tabela 6. eCPRI Intel FPGA IP Design Example Register Mapping
| Naslov | Registrirajte se |
| 0x20100000 – 0x201FFFFF(2) | Register ponovne konfiguracije IOPLL. |
| 0x20200000 – 0x203FFFFF | Ethernet MAC Avalon-MM Register |
| 0x20400000 – 0x205FFFFF | Ethernet MAC Native PHY Avalon-MM register |
| 0x20600000 – 0x207FFFFF(2) | Izvorni register PHY RS-FEC Avalon-MM. |
| 0x40000000 – 0x5FFFFFFF | eCPRI IP Avalon-MM register |
| 0x80000000 – 0x9FFFFFFF | Ethernet Design Generator Test Generator/Verifier Avalon-MM Register |
Tabela 7. Preslikava registra Nios II
Registri v spodnji tabeli so na voljo samo v izvedbi exampustvarjen za naprave Intel Stratix 10 ali Intel Agilex 7 E-tile.
| Naslov | Registrirajte se |
| 0x00100000 – 0x001FFFFF | Register ponovne konfiguracije IOPLL |
| 0x00200000 – 0x003FFFFF | Ethernet MAC Avalon-MM Register |
| 0x00400000 – 0x005FFFFF | Ethernet MAC Native PHY Avalon-MM register |
| 0x00600000 – 0x007FFFFF | Izvorni register PHY RS-FEC Avalon-MM |
Opomba: Do registrov Ethernet MAC in Ethernet MAC Native PHY AVMM lahko dostopate z uporabo besednega odmika namesto bajtnega odmika.
Za podrobne informacije o zemljevidih registra jedra Ethernet MAC, Ethernet MAC Native PHY in eCPRI IP glejte ustrezne uporabniške priročnike.
(2) Na voljo samo v obliki nprampustvarjen za naprave Intel Stratix 10 in Intel Agilex 7 E-tile.
Tabela 8. Zasnova strojne opreme eCPRI Intel FPGA IP Example Register Map
| Odmik besed | Vrsta registra | Privzeta vrednost | Vrsta dostopa |
| 0x0 | Začetek pošiljanja podatkov: • Bit 1: PTP, vrsta brez PTP • Bit 0: tip eCPRI |
0x0 | RW |
| 0x1 | Omogočanje neprekinjenega paketa | 0x0 | RW |
| 0x2 | Počisti napako | 0x0 | RW |
| 0x3 (3) | Stopnja stikala: • Bit [7]- Označuje ploščico: — 1'b0: H-ploščica — 1'b1: E-ploščica • Bit [6:4]- Označuje preklapljanje hitrosti podatkov Ethernet: — 3'b000: 25G do 10G — 3'b001: 10G do 25G • Bit [0]- Hitrost preklopa omogočena. Ta bit je treba nastaviti na 0 in anketirati, dokler bit 0 ni prazen za preklop hitrosti. Opomba: ta register ni na voljo za modele Intel Agilex 7 F-tile in Intel Arria 10. |
• E-ploščica: 0x80 • H-ploščica: 0x0 |
RW |
| 0x4 (3) | Preklop stopnje končan: • Bit [1] označuje opravljeno preklapljanje hitrosti. |
0x0 | RO |
| 0x5 (4) | Stanje konfiguracije sistema: • Bit [31]: Sistem pripravljen • Bit [30]: IWF_EN • Bit [29]: STARTUP_SEQ_EN • Bit [28:4]: Rezervirano • Bit [3]: EXT_PACKET_EN • Bit [2:0]: Rezervirano |
0x0 | RO |
| 0x6 (4) | Pogajanje CPRI končano: • Bit [3:0]: bitna hitrost končana • Bit [19:16]: Protokol končan |
0x0 | RW |
| 0x7 (4) | Pogajanje CPRI končano: • Bit [3:0]: Hitro C&M končano • Bit [19:16]: Hitri VSS končan |
0x0 | RW |
| 0x8 – 0x1F | Rezervirano. | ||
| 0x20 | Prekinitev napake eCPRI: • Bit [0] označuje prekinitev. |
0x0 | RO |
| 0x21 | Napaka zunanjih paketov | 0x0 | RO |
| 0x22 | Število zunanjih paketov PTP TX Start of Packet (SOP). | 0x0 | RO |
| 0x23 | Število zunanjih paketov PTP TX End of Packet (EOP). | 0x0 | RO |
| 0x24 | Število zunanjih paketov TX SOP | 0x0 | RO |
| 0x25 | External Miscellaneous Packets TX EOP Count | 0x0 | RO |
| 0x26 | Število SOP zunanjih paketov RX | 0x0 | RO |
| 0x27 | Število zunanjih RX paketov EOP | 0x0 | RO |
| 0x28 | Število napak zunanjih paketov | 0x0 | RO |
| 0x29 – 0x2C | Rezervirano. | ||
| 0x2D | Zunanji čas PTPamp Število napak prstnega odtisa | 0x0 | RO |
| 0x2E | Zunanji čas PTPamp Napaka prstnega odtisa | 0x0 | RO |
| 0x2F | Status napake zunanjega Rx | 0x0 | RO |
| 0x30 – 0x47 | Rezervirano. | ||
| 0x48 | Napaka paketov eCPRI | RO | |
| 0x49 | eCPRI TX SOP št | RO | |
| 0x4A | eCPRI TX EOP št | RO | |
| 0x4B | eCPRI RX SOP št | RO | |
| 0x4C | eCPRI RX EOP Število | RO | |
| 0x4D | Število napak paketov eCPRI | RO | |
Povezane informacije
- Opisi kontrolnih, statusnih in statističnih registrov
Registrirajte informacije za 25G Ethernet Stratix 10 FPGA IP - Rekonfiguracija in register stanja
Opisi Informacije o registraciji za E-tile Hard IP za Ethernet - Registri
Registrirajte informacije za eCPRI Intel FPGA IP
eCPRI Intel FPGA IP Design Example Arhiv uporabniškega priročnika
Za najnovejšo in prejšnjo različico tega uporabniškega priročnika glejte eCPRI Intel FPGA IP Design Example Uporabniški priročnik HTML različica. Izberite različico in kliknite Prenesi. Če IP ali različica programske opreme ni navedena, velja uporabniški priročnik za prejšnji IP ali različico programske opreme.
Zgodovina revizij dokumenta za eCPRI Intel FPGA IP Design Example Uporabniški priročnik
| Različica dokumenta | Intel Quartus Osnovna različica |
Različica IP | Spremembe |
| 2023.05.19 | 23.1 | 2.0.3 | • Posodobljena Simulacija načrta Example razdelek Testbench v poglavju Vodnik za hiter začetek. • Ime družine izdelkov je bilo posodobljeno na »Intel Agilex 7«. |
| 2022.11.15 | 22.3 | 2.0.1 | Posodobljena navodila za simulator VCS v razdelku: Simulacija Design Example Testbench. |
| 2022.07.01 | 22.1 | 1.4.1 | • Dodana zasnova strojne opreme nprample podpora za različice naprav Intel Agilex 7 F-tile. • Dodana podpora za naslednje razvojne komplete: — Razvojni komplet FPGA Intel Agilex 7 I-Series — Intel Agilex 7 I-Series Transceiver-SoC Development Kit • Dodana podpora za simulator QuestaSim. • Odstranjena podpora za simulator ModelSim* SE. |
| 2021.10.01 | 21.2 | 1.3.1 | • Dodana podpora za naprave Intel Agilex 7 F-tile. • Dodana podpora za večkanalne modele. • Posodobljena tabela: eCPRI Intel FPGA IP Hardware Design Example Register Map. • Odstranjena podpora za simulator NCSim. |
| 2021.02.26 | 20.4 | 1.3.0 | • Dodana podpora za naprave Intel Agilex 7 E-tile. |
| 2021.01.08 | 20.3 | 1.2.0 | • Spremenjen naslov dokumenta iz eCPRI Intel Stratix 10 FPGA IP Design Example Uporabniški priročnik za eCPRI Intel FPGA IP Design Example Uporabniški priročnik. • Dodana podpora za modele Intel Arria 10. • Zasnova eCPRI IP nprample je zdaj na voljo s podporo za funkcije medsebojnega delovanja (IWF). • Dodana opomba za pojasnitev, da načrt eCPRI examps funkcijo IWF je na voljo samo za 9.8 Gbps CPRI bitna hitrost linije. • Dodani pogoji v razdelku Izdelava načrta pri izdelavi načrta nprample z Parameter podpore za funkcijo medsebojnega delovanja (IWF) je omogočen. • Dodan sample izhod testnega zagona simulacije z omogočeno funkcijo IWF v razdelku Simulacija načrta Example Testbench. • Dodan nov razdelek Omogočanje dinamične rekonfiguracije za Ethernet IP. • Posodobljen test strojne opreme sample izhod v razdelku Testiranje eCPRI Intel FPGA IP Design Example. |
| 2020.06.15 | 20.1 | 1.1.0 | • Dodana podpora za hitrost prenosa podatkov 10G. • pretok.c file je zdaj na voljo z dizajnom exampda izberete način povratne zanke. • Spremenil sampizhod za simulacijski preizkus v razdelku Simulacija načrta Example Testbench. • Dodana vrednost frekvence za izvajanje zasnove podatkovne hitrosti 10G v razdelku Prevajanje in konfiguriranje Oblikovanje Example v strojni opremi. • Izvedene naslednje spremembe v razdelku Testiranje eCPRI Intel FPGA IP Design Example: — Dodani ukazi za preklop podatkovne hitrosti med 10G in 25G — Dodal sample izhod za preklop podatkovne hitrosti — Dodane informacije o spremenljivki TEST_MODE za izbiro povratne zanke v različicah naprave E-tile. • Spremenjena zasnova strojne opreme eCPRI Intel FPGA IP Examples Blok diagram visoke ravni za vključitev novih bloki. • Posodobljena tabela: Design Example Interface Signals za vključitev novega signala. • Posodobljen dizajn Example Register Zemljevid razdelek. • Dodan nov razdelek dodatka: Ustvarjanje in prenos izvedljive in povezovalne oblike (.elf) Programiranje File . |
| 2020.04.13 | 19.4 | 1.1.0 | Začetna izdaja. |
A. Ustvarjanje in prenos izvedljive datoteke in programiranje povezovalnega formata (.elf). File
V tem razdelku je opisano, kako ustvariti in prenesti datoteko .elf file na tablo:
- Spremeni imenik vample_dir>/synthesis/quatus.
- V programski opremi Intel Quartus Prime Pro Edition kliknite Odpri projekt in odpriteample_dir>/synthesis/quartus/epri_ed.qpf. Zdaj izberite Orodja ➤ Nios II Software Build Tools for Eclipse.
Slika 10. Orodja za gradnjo programske opreme Nios II za Eclipse
- Prikaže se okno zaganjalnika delovnega prostora. V delovnem prostoru določite pot kotample_dir>/synthesis/quatus za shranjevanje vašega projekta Eclipse. Prikaže se novo okno Nios II – Eclipse.
Slika 11. Okno zaganjalnika delovnega prostora
- V oknu Nios II – Eclipse z desno miškino tipko kliknite zavihek Project Explorer in izberite New ➤ Nios II Board Support Package. Prikaže se novo okno.
Slika 12. Zavihek Project Explorer
- V oknu paketa podpore plošče Nios II:
• V parametru Ime projekta določite želeno ime projekta.
• V informacijah SOPC File parameter imena, poiščite lokacijoample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. Kliknite Dokončaj.
Slika 13. Okno paketa podpore plošče Nios II
- Novo ustvarjeni projekt se prikaže pod zavihkom Project Explorer v oknu Nios II Eclipse. Z desno miškino tipko kliknite zavihek Project Explorer in izberite Nios II ➤ Nios II Command Shell.
Slika 14. Raziskovalec projekta - ukazna lupina Nios II
- V ukazno lupino Nios II vnesite naslednje tri ukaze: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
- .elf file se ustvari na naslednji lokaciji:ample_dir>/ synthesis/ip_components/software/ /aplikacija
- Vnesite naslednji ukaz v ukazno lupino Nios II, da prenesete .elf na ploščo:
• Za Intel Stratix 10: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
• Za Intel Agilex 7: nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf

Spletna različica
Pošlji povratne informacije
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP Design Example Uporabniški priročnik
Dokumenti / Viri
![]() |
Intel eCPRI Intel FPGA IP Design [pdf] Uporabniški priročnik eCPRI Intel FPGA IP Design, eCPRI, Intel FPGA IP Design, FPGA IP Design, IP Design, Design |
